@oldwizzy: Nay, ten sterownik jest też zaimplementowany w VHDLu (a LCD ma jakieś swoje coś jeszcze). W każdym razie nie zmieniło się nic poza tym, że delay półsekundowy od zapełnienia rejestru indeksowego sprawił, że nagle dolna linijka stała się widoczna. Być może za duże taktowanie? No ale jego oscylator ma rzekomo 250 kHz a ja mam udupione takty do 210 kHZ mniej więcej (27 MHz/128). W sumie nie sprawdzałem ze zmianą
  • Odpowiedz
A mozna w tym wyswietlaczy regulowac jasnosc?
A moze ta ostatnia linia poprostu nie zdazy sie zapalic a indeks juz przeskakuje do pierwszej...
  • Odpowiedz
#vhdl #elektronika #fpga

http://pastebin.com/K91FkpLA

Próbuję oprogramować wyświetlacz LCD (szerokość 16 bit) korzystając z gotowego drivera do niego. Niestety w moim układzie nie chce wyświetlać dolnej linijki... Jak wgram jakiegoś gotowca który jest zbudowany właściwie identycznie jak ten mój, to nagle dolna linijka działa. To co jest w pliku to tylko moduł który się komunikuje z właściwym driverem. I nie mogę za ch... dojść czemu wyświetla górne znaki a dolnych nie.

Już myślałem,
Dolna część pojawiła się w momencie gdy wprowadziłem półsekundowy delay po dojściu iINDEX do końca. Wiadomo czemu tak się dzieje? Czemu tak mu ten delay pomógł?
  • Odpowiedz
#vhdl #elektronika

'0' & x"000000"

Wie ktoś co oznacza to x"000000"? Wygląda mi to na jakąś alternatywną metodę zerowania rejestru, bo tutaj jest rejestr o nieparzystej liczbie bitów. Stąd by było '0' w kontatenacji z ileśtam x "000000" aż do końca rejestru. Ale nadal nie wiem czemu nie jest w takim razie użyte <= (others => '0')
@Khaine: nie jest zastosowane, bo może ktoś nie ogarnął

'0' & (others => '0'), to to samo co '0' & x"000000", a to równa się (others => '0')

O ile '0' & x"000000" pokrywa wszystkie bity w vectorze, ale chyba musi, bo synteza by nie poszła.
  • Odpowiedz
@patrolez: No to też właśnie. I jest to prostszy zapis :D Rozumiem że 25 bitowy wektor to się nikomu nie chce zerami wypełniać w " ", ale przecież others jest.
  • Odpowiedz
#elektronika #vhdl #fpga #ise

Klepię sobie sumator, zrobiłem na dobry początek 1 bitowy (yay!) i teraz chciałbym zrobić na więcej bitów. I jedna rzecz mnie zastanawia.

Mogę klepać kod VHDL dla każdego stopnia sumatora i gdybym chciał 64 bit to musiałbym 64 razy przepisać (w praktyce kopiuj wklej, ale pomijamy).

A nie da się tego w jakiś sposób "usprytnić"? Stopnie sumatora są ze sobą połączone w ściśle określony sposób. Nie da się
@rzet: tak IP to nazwa dla takiego bloczka, który możne też składać się z bloczków :> Jedne mogą być udostępnione jako zamknięte, inne jako otwarto-źródłowe. Co by nie było to i tak są one napisane w jakimś języku opisu sprzętowego i nie ma tam magii.
  • Odpowiedz
#elektronika #vhdl #fpga

Łaaa, ale to jest zajebiste! Ja bym musiał cholera wie jak długo siedzieć, żeby rozkminić taki złożony multiplekser z palca na kartce a tutaj wystarczyło jebnąć CASE, dać warunki i program mi to wydupcył automatycznie w ciągu 5 sekund.

Szkoda tylko, że mi się coś ten Spartan nie chce połączyć z iMPACT, bo bym sobie mógł zaprogramować i pocykać ( ͡° ʖ̯ ͡°) Jest ktoś
Khaine - #elektronika #vhdl #fpga



Łaaa, ale to jest zajebiste! Ja bym musiał chole...

źródło: comment_OKW5MGKBXp0D0aXXDz5RKFhWFRsiOw7v.jpg

Pobierz
@bykubyk:

https://gist.github.com/anonymous/35e5ed0510fd032b04d3#file-gistfile1-txt

Taki log mam do na iMPACT jak próbuję autodetecta zrobić.

https://www.fer.unizg.hr/_download/repository/E2LPBaseBoard_-_Technical_Reference_Manual%5B1%5D.pdf

To jest mój zestaw i on automatycznie sobie poinstalował sterowniki do siebie. Program który jest do niego dołączony jako connector łączy się ze Spartanem normalnie. Nie da się natomiast zaprogramować, bo potrzeba impact scriptu, którego nie mogę wygenerować.
  • Odpowiedz
Mirki, super potrzebna pomoc, mam nadzieję, że jest tu ktoś choć minimalnie ogarnięty w #vhdl

kot:

licz: process(clk,czytaj) is

begin

if(rising_edge(clk)) then

wy <= '1' when licznik <= stan else '0';

licznik<=std_logic_vector(unsigned(licznik)+1);

end if;

end process licz;

wynik:

Error (10500): VHDL syntax error at pwm.vhd(36) near text "when"; expecting ";"

Czy jest tu jakiś oczywisty błąd? Bo konstrukcja wydaje się być standardowa, a nie chce mi działać w żadnej możliwej kombinacji, nawet
@rzet: Ja dostałem jakiś czas temu ofertę za 450 - 500 EUR dniówki w Big Data, dla osoby z 7 lat expa w ogóle i co najmniej 5 w Big Data (czyli ktoś musiał zaczynać równo z pierwszą Cassandrą i dwa lata po pierwszym Hadoopie ;-) ). Dla automated trading widziałem raz coś za jakieś 600 EUR chyba, ale nie moja bajka, więc nie znam szczegółów.
  • Odpowiedz
Hej mirki,

Nie mam 30 lat, pracuje #zagranico przy projektach kosmicznych dla #nasa #esa #spacex itd..

Robię high level design (rare) i głownie analiza, integracja / weryfikacja elektroniki

Niby fajna bajka, ale mam mało doświadczenia w design, więc nie zarabiam dużo jak na ogrom odpowiedzialności i specyfikę projektów (~40k€)

#kosmos #kosmosboners jest zajebiste i fajnie jest projektować coś co będzie w rakietach, na stacji kosmicznej lub w satelitach.

Z drugiej strony moja
już zmienił na "rare", czytaj dalej, większe jaja, mówi, że 40k ojro wyciąga xd


@Ramboski: Zarobki zwykle podaje sie w skali rocznej bez podatku. I 40k EUR to nie jest jakis kosmos. W Irlandii srednia placa wynosi 35k€

http://cso.ie/quicktables/GetQuickTables.aspx?FileName=EHQ03.asp&TableName=Earnings+and+Labour+Costs&StatisticalProduct=DB_EH

Tak słucham o tych pieniądzach na rynku #it i wciąż zastanawiam się nad jedną rzeczą:


Czy nie lepiej przeskoczyć na #programowanie jakiegoś badziewia ala #webdev czy co tam teraz w modzie i
  • Odpowiedz
@sztilq: W mojej opinii w Polsce, mało jest pracy dla typowych ludzi zajmujących się układami programowalnymi, ale jako umiejętność dodatkowa jest to pożądane (dodatkowa do programowania jakiś uC)
  • Odpowiedz
Potem lokalnie juz jak bedzisz mial ten ftp jako dysk to czyms otworzysz ten vhd


@Aleoledacfaraddadf: Raczej będzie musiał pobrać to, aby otworzyć. Nie sądzę, aby FTP miało jakieś metody na przeszukiwanie takich(czy nawet innych?) plików.
  • Odpowiedz