Wpis z mikrobloga

hmm ja to w quartusie VHDL'a miałem. A przekomplikowałeś i dokonałeś analizy czasowej, bo to najpierw trzeba zrobić? Jeżeli tak to sprawdź czy plik symulacji nie jest pusty czasem :P
  • Odpowiedz